Thu, 23 May 2024 06:43:55 UTC | login

Information for RPM verilator-3.922-1.fc29.riscv64.rpm

ID88296
Nameverilator
Version3.922
Release1.fc29
Epoch
Archriscv64
SummaryA fast simulator for synthesizable Verilog
DescriptionVerilator is the fastest free Verilog HDL simulator. It compiles synthesizable Verilog, plus some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code. It is designed for large projects where fast simulation performance is of primary concern, and is especially well suited to create executable models of CPUs for embedded software design teams.
Build Time2018-05-25 04:19:28 GMT
Size3.21 MB
3ef4149ff829c7a3f7736257035e8dfd
LicenseLGPLv3 or Artistic 2.0
Buildrootf29-build-4392-1035
Provides
2018-03-17
pkgconfig(verilator) = 3.922
verilator = 3.922-1.fc29
verilator(riscv-64) = 3.922-1.fc29
Obsoletes No Obsoletes
Conflicts No Conflicts
Requires
/usr/bin/pkg-config
ld-linux-riscv64-lp64d.so.1()(64bit)
ld-linux-riscv64-lp64d.so.1(GLIBC_2.27)(64bit)
libc.so.6()(64bit)
libc.so.6(GLIBC_2.27)(64bit)
libgcc_s.so.1()(64bit)
libgcc_s.so.1(GCC_3.0)(64bit)
libgcc_s.so.1(GCC_3.4)(64bit)
libm.so.6()(64bit)
libm.so.6(GLIBC_2.27)(64bit)
libstdc++.so.6()(64bit)
libstdc++.so.6(CXXABI_1.3)(64bit)
libstdc++.so.6(CXXABI_1.3.5)(64bit)
libstdc++.so.6(CXXABI_1.3.9)(64bit)
libstdc++.so.6(GLIBCXX_3.4)(64bit)
libstdc++.so.6(GLIBCXX_3.4.11)(64bit)
libstdc++.so.6(GLIBCXX_3.4.15)(64bit)
libstdc++.so.6(GLIBCXX_3.4.18)(64bit)
libstdc++.so.6(GLIBCXX_3.4.20)(64bit)
libstdc++.so.6(GLIBCXX_3.4.21)(64bit)
libstdc++.so.6(GLIBCXX_3.4.9)(64bit)
rpmlib(CompressedFileNames) <= 3.0.4-1
rpmlib(FileDigests) <= 4.6.0-1
rpmlib(PayloadFilesHavePrefix) <= 4.0-1
rpmlib(PayloadIsXz) <= 5.2-1
rtld(GNU_HASH)
Recommends No Recommends
Suggests No Suggests
Supplements No Supplements
Enhances No Enhances
Files
Page:
1 through 50 of 105 >>>
Name ascending sort Size
/usr/bin/verilator158.90 KB
/usr/bin/verilator_bin4.05 MB
/usr/bin/verilator_bin_dbg5.36 MB
/usr/bin/verilator_coverage8.17 KB
/usr/bin/verilator_coverage_bin_dbg95.22 KB
/usr/bin/verilator_profcfunc6.33 KB
/usr/lib/.build-id0.00 B
/usr/lib/.build-id/8a0.00 B
/usr/lib/.build-id/8a/56ea5c3e5c5e2e90ec73897249e34ccb68a45d33.00 B
/usr/lib/.build-id/9d0.00 B
/usr/lib/.build-id/9d/bce662e408442f34da75507ccb458b0bec6a9137.00 B
/usr/lib/.build-id/b80.00 B
/usr/lib/.build-id/b8/9d9470fca1bea640b2a3b2ac808988f9a7952346.00 B
/usr/lib64/pkgconfig/verilator.pc301.00 B
/usr/share/doc/verilator0.00 B
/usr/share/doc/verilator/Changes91.19 KB
/usr/share/doc/verilator/README7.18 KB
/usr/share/doc/verilator/TODO5.59 KB
/usr/share/doc/verilator/examples0.00 B
/usr/share/doc/verilator/examples/examples0.00 B
/usr/share/doc/verilator/examples/examples/hello_world_c0.00 B
/usr/share/doc/verilator/examples/examples/hello_world_c/Makefile1.94 KB
/usr/share/doc/verilator/examples/examples/hello_world_c/sim_main.cpp1.06 KB
/usr/share/doc/verilator/examples/examples/hello_world_c/top.v323.00 B
/usr/share/doc/verilator/examples/examples/hello_world_sc0.00 B
/usr/share/doc/verilator/examples/examples/hello_world_sc/Makefile2.26 KB
/usr/share/doc/verilator/examples/examples/hello_world_sc/sc_main.cpp1.26 KB
/usr/share/doc/verilator/examples/examples/hello_world_sc/top.v323.00 B
/usr/share/doc/verilator/examples/examples/tracing_c0.00 B
/usr/share/doc/verilator/examples/examples/tracing_c/Makefile2.90 KB
/usr/share/doc/verilator/examples/examples/tracing_c/Makefile_obj1.82 KB
/usr/share/doc/verilator/examples/examples/tracing_c/input.vc129.00 B
/usr/share/doc/verilator/examples/examples/tracing_c/sim_main.cpp3.54 KB
/usr/share/doc/verilator/examples/examples/tracing_c/sub.v1.50 KB
/usr/share/doc/verilator/examples/examples/tracing_c/top.v1.27 KB
/usr/share/doc/verilator/examples/examples/tracing_sc0.00 B
/usr/share/doc/verilator/examples/examples/tracing_sc/Makefile3.21 KB
/usr/share/doc/verilator/examples/examples/tracing_sc/Makefile_obj2.13 KB
/usr/share/doc/verilator/examples/examples/tracing_sc/input.vc129.00 B
/usr/share/doc/verilator/examples/examples/tracing_sc/sc_main.cpp3.90 KB
/usr/share/doc/verilator/examples/examples/tracing_sc/sub.v1.50 KB
/usr/share/doc/verilator/examples/examples/tracing_sc/top.v1.27 KB
/usr/share/doc/verilator/examples/hello_world_c0.00 B
/usr/share/doc/verilator/examples/hello_world_c/Makefile1.94 KB
/usr/share/doc/verilator/examples/hello_world_c/sim_main.cpp1.06 KB
/usr/share/doc/verilator/examples/hello_world_c/top.v323.00 B
/usr/share/doc/verilator/examples/hello_world_sc0.00 B
/usr/share/doc/verilator/examples/hello_world_sc/Makefile2.26 KB
/usr/share/doc/verilator/examples/hello_world_sc/sc_main.cpp1.26 KB
/usr/share/doc/verilator/examples/hello_world_sc/top.v323.00 B
Component of No Buildroots