Thu, 09 May 2024 00:28:54 UTC | login

Information for RPM verilator-4.032-1.fc33.riscv64.rpm

ID603809
Nameverilator
Version4.032
Release1.fc33
Epoch
Archriscv64
SummaryA fast simulator for synthesizable Verilog
DescriptionVerilator is the fastest free Verilog HDL simulator. It compiles synthesizable Verilog, plus some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code. It is designed for large projects where fast simulation performance is of primary concern, and is especially well suited to create executable models of CPUs for embedded software design teams.
Build Time2020-05-07 10:14:00 GMT
Size4.23 MB
b27be92d33b4bd4d3257ffc1d2a330bb
LicenseLGPLv3 or Artistic 2.0
Buildrootf33-build-195212-47206
Provides
pkgconfig(verilator) = 4.032
verilator = 4.032-1.fc33
verilator(riscv-64) = 4.032-1.fc33
Obsoletes No Obsoletes
Conflicts No Conflicts
Requires
/usr/bin/perl
/usr/bin/pkg-config
ld-linux-riscv64-lp64d.so.1()(64bit)
ld-linux-riscv64-lp64d.so.1(GLIBC_2.27)(64bit)
libc.so.6()(64bit)
libc.so.6(GLIBC_2.27)(64bit)
libm.so.6()(64bit)
libm.so.6(GLIBC_2.27)(64bit)
libpthread.so.0()(64bit)
libpthread.so.0(GLIBC_2.27)(64bit)
libstdc++.so.6()(64bit)
libstdc++.so.6(CXXABI_1.3)(64bit)
libstdc++.so.6(CXXABI_1.3.5)(64bit)
libstdc++.so.6(CXXABI_1.3.9)(64bit)
libstdc++.so.6(GLIBCXX_3.4)(64bit)
libstdc++.so.6(GLIBCXX_3.4.11)(64bit)
libstdc++.so.6(GLIBCXX_3.4.15)(64bit)
libstdc++.so.6(GLIBCXX_3.4.17)(64bit)
libstdc++.so.6(GLIBCXX_3.4.18)(64bit)
libstdc++.so.6(GLIBCXX_3.4.20)(64bit)
libstdc++.so.6(GLIBCXX_3.4.21)(64bit)
libstdc++.so.6(GLIBCXX_3.4.26)(64bit)
libstdc++.so.6(GLIBCXX_3.4.9)(64bit)
perl(:VERSION) >= 5.5.0
perl(:VERSION) >= 5.6.0
perl(Cwd)
perl(FindBin)
perl(Getopt::Long)
perl(IO::File)
perl(Pod::Usage)
perl(strict)
perl(vars)
perl(warnings)
rpmlib(CompressedFileNames) <= 3.0.4-1
rpmlib(FileDigests) <= 4.6.0-1
rpmlib(PayloadFilesHavePrefix) <= 4.0-1
rpmlib(PayloadIsZstd) <= 5.4.18-1
rtld(GNU_HASH)
Recommends No Recommends
Suggests No Suggests
Supplements No Supplements
Enhances No Enhances
Files
Page:
1 through 50 of 124 >>>
Name ascending sort Size
/usr/bin/verilator204.57 KB
/usr/bin/verilator_bin6.03 MB
/usr/bin/verilator_bin_dbg7.84 MB
/usr/bin/verilator_coverage8.31 KB
/usr/bin/verilator_coverage_bin_dbg182.56 KB
/usr/bin/verilator_gantt17.85 KB
/usr/bin/verilator_profcfunc7.51 KB
/usr/lib/.build-id0.00 B
/usr/lib/.build-id/200.00 B
/usr/lib/.build-id/20/b5877db10e6c8a44cc7b15640d2741c596c72837.00 B
/usr/lib/.build-id/970.00 B
/usr/lib/.build-id/97/2a3e3868681491e82017c63700ab7d2378931446.00 B
/usr/lib/.build-id/c80.00 B
/usr/lib/.build-id/c8/12855af4c69b1e2323f74c193a73d74e4d908e33.00 B
/usr/lib64/pkgconfig/verilator.pc289.00 B
/usr/share/doc/verilator0.00 B
/usr/share/doc/verilator/Changes105.80 KB
/usr/share/doc/verilator/README.adoc6.74 KB
/usr/share/doc/verilator/examples0.00 B
/usr/share/doc/verilator/examples/cmake_hello_c0.00 B
/usr/share/doc/verilator/examples/cmake_hello_c/CMakeLists.txt1.30 KB
/usr/share/doc/verilator/examples/cmake_hello_c/Makefile2.18 KB
/usr/share/doc/verilator/examples/cmake_hello_sc0.00 B
/usr/share/doc/verilator/examples/cmake_hello_sc/CMakeLists.txt1.53 KB
/usr/share/doc/verilator/examples/cmake_hello_sc/Makefile3.88 KB
/usr/share/doc/verilator/examples/cmake_protect_lib0.00 B
/usr/share/doc/verilator/examples/cmake_protect_lib/CMakeLists.txt2.45 KB
/usr/share/doc/verilator/examples/cmake_protect_lib/Makefile2.18 KB
/usr/share/doc/verilator/examples/cmake_tracing_c0.00 B
/usr/share/doc/verilator/examples/cmake_tracing_c/CMakeLists.txt1.41 KB
/usr/share/doc/verilator/examples/cmake_tracing_c/Makefile2.37 KB
/usr/share/doc/verilator/examples/cmake_tracing_sc0.00 B
/usr/share/doc/verilator/examples/cmake_tracing_sc/CMakeLists.txt1.64 KB
/usr/share/doc/verilator/examples/cmake_tracing_sc/Makefile3.91 KB
/usr/share/doc/verilator/examples/make_hello_c0.00 B
/usr/share/doc/verilator/examples/make_hello_c/Makefile2.00 KB
/usr/share/doc/verilator/examples/make_hello_c/sim_main.cpp1.12 KB
/usr/share/doc/verilator/examples/make_hello_c/top.v377.00 B
/usr/share/doc/verilator/examples/make_hello_sc0.00 B
/usr/share/doc/verilator/examples/make_hello_sc/Makefile2.32 KB
/usr/share/doc/verilator/examples/make_hello_sc/sc_main.cpp1.32 KB
/usr/share/doc/verilator/examples/make_hello_sc/top.v377.00 B
/usr/share/doc/verilator/examples/make_protect_lib0.00 B
/usr/share/doc/verilator/examples/make_protect_lib/Makefile3.49 KB
/usr/share/doc/verilator/examples/make_protect_lib/secret_impl.v738.00 B
/usr/share/doc/verilator/examples/make_protect_lib/sim_main.cpp1.70 KB
/usr/share/doc/verilator/examples/make_protect_lib/top.v878.00 B
/usr/share/doc/verilator/examples/make_tracing_c0.00 B
/usr/share/doc/verilator/examples/make_tracing_c/Makefile3.05 KB
/usr/share/doc/verilator/examples/make_tracing_c/Makefile_obj2.00 KB
Component of No Buildroots