Tue, 11 Jun 2024 13:01:20 UTC | login

Information for RPM verilator-3.922-3.fc31.riscv64.rpm

ID396884
Nameverilator
Version3.922
Release3.fc31
Epoch
Archriscv64
SummaryA fast simulator for synthesizable Verilog
DescriptionVerilator is the fastest free Verilog HDL simulator. It compiles synthesizable Verilog, plus some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code. It is designed for large projects where fast simulation performance is of primary concern, and is especially well suited to create executable models of CPUs for embedded software design teams.
Build Time2019-07-27 23:19:36 GMT
Size2.85 MB
ec5055d88ad2ff2cbae6b07374202f0a
LicenseLGPLv3 or Artistic 2.0
Buildrootf31-build-60471-28720
Provides
2018-03-17
pkgconfig(verilator) = 3.922
verilator = 3.922-3.fc31
verilator(riscv-64) = 3.922-3.fc31
Obsoletes No Obsoletes
Conflicts No Conflicts
Requires
/usr/bin/pkg-config
ld-linux-riscv64-lp64d.so.1()(64bit)
ld-linux-riscv64-lp64d.so.1(GLIBC_2.27)(64bit)
libc.so.6()(64bit)
libc.so.6(GLIBC_2.27)(64bit)
libgcc_s.so.1()(64bit)
libgcc_s.so.1(GCC_3.0)(64bit)
libgcc_s.so.1(GCC_3.4)(64bit)
libm.so.6()(64bit)
libm.so.6(GLIBC_2.27)(64bit)
libstdc++.so.6()(64bit)
libstdc++.so.6(CXXABI_1.3)(64bit)
libstdc++.so.6(CXXABI_1.3.5)(64bit)
libstdc++.so.6(CXXABI_1.3.9)(64bit)
libstdc++.so.6(GLIBCXX_3.4)(64bit)
libstdc++.so.6(GLIBCXX_3.4.11)(64bit)
libstdc++.so.6(GLIBCXX_3.4.15)(64bit)
libstdc++.so.6(GLIBCXX_3.4.18)(64bit)
libstdc++.so.6(GLIBCXX_3.4.20)(64bit)
libstdc++.so.6(GLIBCXX_3.4.21)(64bit)
libstdc++.so.6(GLIBCXX_3.4.26)(64bit)
libstdc++.so.6(GLIBCXX_3.4.9)(64bit)
rpmlib(CompressedFileNames) <= 3.0.4-1
rpmlib(FileDigests) <= 4.6.0-1
rpmlib(PayloadFilesHavePrefix) <= 4.0-1
rpmlib(PayloadIsZstd) <= 5.4.18-1
rtld(GNU_HASH)
Recommends No Recommends
Suggests No Suggests
Supplements No Supplements
Enhances No Enhances
Files
Page:
1 through 50 of 105 >>>
Name ascending sort Size
/usr/bin/verilator158.90 KB
/usr/bin/verilator_bin5.49 MB
/usr/bin/verilator_bin_dbg6.80 MB
/usr/bin/verilator_coverage8.17 KB
/usr/bin/verilator_coverage_bin_dbg90.27 KB
/usr/bin/verilator_profcfunc6.33 KB
/usr/lib/.build-id0.00 B
/usr/lib/.build-id/5f0.00 B
/usr/lib/.build-id/5f/edbc3df66304caaab3d1afbcc77ff4f3b137ce37.00 B
/usr/lib/.build-id/790.00 B
/usr/lib/.build-id/79/6c345982271385f59fd22daf0ad73ec267205033.00 B
/usr/lib/.build-id/8c0.00 B
/usr/lib/.build-id/8c/df6a60296f908db43e867188e3b7f487d1227346.00 B
/usr/lib64/pkgconfig/verilator.pc301.00 B
/usr/share/doc/verilator0.00 B
/usr/share/doc/verilator/Changes91.19 KB
/usr/share/doc/verilator/README7.18 KB
/usr/share/doc/verilator/TODO5.59 KB
/usr/share/doc/verilator/examples0.00 B
/usr/share/doc/verilator/examples/examples0.00 B
/usr/share/doc/verilator/examples/examples/hello_world_c0.00 B
/usr/share/doc/verilator/examples/examples/hello_world_c/Makefile1.94 KB
/usr/share/doc/verilator/examples/examples/hello_world_c/sim_main.cpp1.06 KB
/usr/share/doc/verilator/examples/examples/hello_world_c/top.v323.00 B
/usr/share/doc/verilator/examples/examples/hello_world_sc0.00 B
/usr/share/doc/verilator/examples/examples/hello_world_sc/Makefile2.26 KB
/usr/share/doc/verilator/examples/examples/hello_world_sc/sc_main.cpp1.26 KB
/usr/share/doc/verilator/examples/examples/hello_world_sc/top.v323.00 B
/usr/share/doc/verilator/examples/examples/tracing_c0.00 B
/usr/share/doc/verilator/examples/examples/tracing_c/Makefile2.90 KB
/usr/share/doc/verilator/examples/examples/tracing_c/Makefile_obj1.82 KB
/usr/share/doc/verilator/examples/examples/tracing_c/input.vc129.00 B
/usr/share/doc/verilator/examples/examples/tracing_c/sim_main.cpp3.54 KB
/usr/share/doc/verilator/examples/examples/tracing_c/sub.v1.50 KB
/usr/share/doc/verilator/examples/examples/tracing_c/top.v1.27 KB
/usr/share/doc/verilator/examples/examples/tracing_sc0.00 B
/usr/share/doc/verilator/examples/examples/tracing_sc/Makefile3.21 KB
/usr/share/doc/verilator/examples/examples/tracing_sc/Makefile_obj2.13 KB
/usr/share/doc/verilator/examples/examples/tracing_sc/input.vc129.00 B
/usr/share/doc/verilator/examples/examples/tracing_sc/sc_main.cpp3.90 KB
/usr/share/doc/verilator/examples/examples/tracing_sc/sub.v1.50 KB
/usr/share/doc/verilator/examples/examples/tracing_sc/top.v1.27 KB
/usr/share/doc/verilator/examples/hello_world_c0.00 B
/usr/share/doc/verilator/examples/hello_world_c/Makefile1.94 KB
/usr/share/doc/verilator/examples/hello_world_c/sim_main.cpp1.06 KB
/usr/share/doc/verilator/examples/hello_world_c/top.v323.00 B
/usr/share/doc/verilator/examples/hello_world_sc0.00 B
/usr/share/doc/verilator/examples/hello_world_sc/Makefile2.26 KB
/usr/share/doc/verilator/examples/hello_world_sc/sc_main.cpp1.26 KB
/usr/share/doc/verilator/examples/hello_world_sc/top.v323.00 B
Component of No Buildroots