Mock Version: 1.4.21 Mock Version: 1.4.21 ENTER ['do_with_status'](['bash', '--login', '-c', '/usr/bin/rpmbuild -bs --target riscv64 --nodeps /builddir/build/SPECS/trellis.spec'], chrootPath='/var/lib/mock/f33-build-272099-51571/root'env={'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'en_US.UTF-8'}shell=Falselogger=timeout=432000uid=987gid=135user='mockbuild'nspawn_args=[]unshare_net=TrueprintOutput=False) Executing command: ['bash', '--login', '-c', '/usr/bin/rpmbuild -bs --target riscv64 --nodeps /builddir/build/SPECS/trellis.spec'] with env {'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'en_US.UTF-8'} and shell False Building target platforms: riscv64 Building for target riscv64 setting SOURCE_DATE_EPOCH=1590796800 Wrote: /builddir/build/SRPMS/trellis-1.0-0.9.20200127git30ee6f2.fc33.src.rpm Child return code was: 0 ENTER ['do_with_status'](['bash', '--login', '-c', '/usr/bin/rpmbuild -bb --target riscv64 --nodeps /builddir/build/SPECS/trellis.spec'], chrootPath='/var/lib/mock/f33-build-272099-51571/root'env={'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'en_US.UTF-8'}shell=Falselogger=timeout=432000uid=987gid=135user='mockbuild'nspawn_args=[]unshare_net=TrueprintOutput=False) Executing command: ['bash', '--login', '-c', '/usr/bin/rpmbuild -bb --target riscv64 --nodeps /builddir/build/SPECS/trellis.spec'] with env {'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'en_US.UTF-8'} and shell False Building target platforms: riscv64 Building for target riscv64 setting SOURCE_DATE_EPOCH=1590796800 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.HvGGRV + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 + /usr/bin/gzip -dc /builddir/build/SOURCES/prjtrellis-30ee6f2.tar.gz + /usr/bin/tar -xof - + STATUS=0 + '[' 0 -ne 0 ']' + cd prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 + /usr/bin/gzip -dc /builddir/build/SOURCES/prjtrellis-db-717478b.tar.gz + /usr/bin/tar -xof - + STATUS=0 + '[' 0 -ne 0 ']' + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + rm -rf database + mv prjtrellis-db-717478b757a702bbc7e3e11a5fbecee2a64f7922 database Patch #1 (pdf-doc-build-de5eec3.patch): + echo 'Patch #1 (pdf-doc-build-de5eec3.patch):' + /usr/bin/patch --no-backup-if-mismatch -p1 --fuzz=0 patching file docs/.gitignore patching file docs/Makefile patching file docs/_static/.keepme patching file docs/conf.py patching file docs/markdown_code_symlinks.py patching file docs/requirements.txt + sed -i '/CMAKE_CXX_FLAGS/s/-O3/-O3 -fPIC -g1/' libtrellis/CMakeLists.txt + sed -i 's/"lib64"/"lib${LIB_SUFFIX}"/' libtrellis/CMakeLists.txt + find . -name '*.py' -exec sed -i 's|/usr/bin/env python3|/usr/bin/python3|' '{}' ';' + find . -name .gitignore -delete + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.401FIX + umask 022 + cd /builddir/build/BUILD + cd prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + /usr/bin/cmake -DCMAKE_C_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_CXX_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_Fortran_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_VERBOSE_MAKEFILE:BOOL=ON -DCMAKE_INSTALL_PREFIX:PATH=/usr -DINCLUDE_INSTALL_DIR:PATH=/usr/include -DLIB_INSTALL_DIR:PATH=/usr/lib64 -DSYSCONF_INSTALL_DIR:PATH=/etc -DSHARE_INSTALL_PREFIX:PATH=/usr/share -DLIB_SUFFIX=64 -DBUILD_SHARED_LIBS:BOOL=ON libtrellis -DCURRENT_GIT_VERSION=1.0-0.9.20200127git30ee6f2.fc33 -- The C compiler identification is GNU 10.1.1 -- The CXX compiler identification is GNU 10.1.1 -- Check for working C compiler: /usr/bin/gcc -- Check for working C compiler: /usr/bin/gcc - works -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Detecting C compile features -- Detecting C compile features - done -- Check for working CXX compiler: /usr/bin/g++ -- Check for working CXX compiler: /usr/bin/g++ - works -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Detecting CXX compile features -- Detecting CXX compile features - done -- Found PythonInterp: /usr/bin/python3 (found suitable version "3.9", minimum required is "3.5") -- Found PythonLibs: /usr/lib64/libpython3.9.so (found suitable version "3.9.0b1", minimum required is "3.5") -- Looking for pthread.h -- Looking for pthread.h - found -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed -- Looking for pthread_create in pthreads -- Looking for pthread_create in pthreads - not found -- Looking for pthread_create in pthread -- Looking for pthread_create in pthread - found -- Found Threads: TRUE -- Found Boost: /usr/include (found version "1.69.0") found components: filesystem thread program_options system chrono date_time atomic CMake Warning at /usr/share/cmake/Modules/FindBoost.cmake:2007 (message): No header defined for python-py3901; skipping header check (note: header-only libraries have no designated component) Call Stack (most recent call first): CMakeLists.txt:48 (find_package) CMake Warning at /usr/share/cmake/Modules/FindBoost.cmake:2007 (message): No header defined for python-py390; skipping header check (note: header-only libraries have no designated component) Call Stack (most recent call first): CMakeLists.txt:55 (find_package) CMake Warning at /usr/share/cmake/Modules/FindBoost.cmake:2007 (message): No header defined for python-py39; skipping header check (note: header-only libraries have no designated component) Call Stack (most recent call first): CMakeLists.txt:55 (find_package) CMake Warning at /usr/share/cmake/Modules/FindBoost.cmake:2007 (message): No header defined for python-py3; skipping header check (note: header-only libraries have no designated component) Call Stack (most recent call first): CMakeLists.txt:55 (find_package) -- Configuring done -- Generating done CMake Warning: Manually-specified variables were not used by the project: CMAKE_CXX_FLAGS_RELEASE CMAKE_C_FLAGS_RELEASE CMAKE_Fortran_FLAGS_RELEASE INCLUDE_INSTALL_DIR LIB_INSTALL_DIR LIB_SUFFIX SHARE_INSTALL_PREFIX SYSCONF_INSTALL_DIR -- Build files have been written to: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 + /usr/bin/make -O -j4 V=1 VERBOSE=1 /usr/bin/cmake -S/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis -B/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/progress.marks /usr/bin/make -f CMakeFiles/Makefile2 all /usr/bin/make -f CMakeFiles/trellis.dir/build.make CMakeFiles/trellis.dir/depend /usr/bin/make -f CMakeFiles/pytrellis.dir/build.make CMakeFiles/pytrellis.dir/depend make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' cd /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/pytrellis.dir/DependInfo.cmake --color= Dependee "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/pytrellis.dir/DependInfo.cmake" is newer than depender "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/pytrellis.dir/depend.internal". Dependee "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/CMakeDirectoryInformation.cmake" is newer than depender "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/pytrellis.dir/depend.internal". Scanning dependencies of target pytrellis make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /usr/bin/make -f CMakeFiles/pytrellis.dir/build.make CMakeFiles/pytrellis.dir/build make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' cd /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/trellis.dir/DependInfo.cmake --color= Dependee "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/trellis.dir/DependInfo.cmake" is newer than depender "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/trellis.dir/depend.internal". Dependee "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/CMakeDirectoryInformation.cmake" is newer than depender "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/trellis.dir/depend.internal". Scanning dependencies of target trellis make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /usr/bin/make -f CMakeFiles/trellis.dir/build.make CMakeFiles/trellis.dir/build make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 2%] Building CXX object CMakeFiles/trellis.dir/src/Bels.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/trellis.dir/src/Bels.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/Bels.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 4%] Building CXX object CMakeFiles/pytrellis.dir/src/Bels.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/pytrellis.dir/src/Bels.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/Bels.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 9%] Building CXX object CMakeFiles/trellis.dir/src/BitDatabase.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/trellis.dir/src/BitDatabase.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/BitDatabase.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 6%] Building CXX object CMakeFiles/pytrellis.dir/src/BitDatabase.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/pytrellis.dir/src/BitDatabase.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/BitDatabase.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 18%] Building CXX object CMakeFiles/pytrellis.dir/src/CRAM.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/pytrellis.dir/src/CRAM.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/CRAM.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 16%] Building CXX object CMakeFiles/trellis.dir/src/CRAM.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/trellis.dir/src/CRAM.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/CRAM.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 11%] Building CXX object CMakeFiles/pytrellis.dir/src/Bitstream.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/pytrellis.dir/src/Bitstream.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/Bitstream.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 13%] Building CXX object CMakeFiles/trellis.dir/src/Bitstream.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/trellis.dir/src/Bitstream.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/Bitstream.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 20%] Building CXX object CMakeFiles/pytrellis.dir/src/Chip.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/pytrellis.dir/src/Chip.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/Chip.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 23%] Building CXX object CMakeFiles/trellis.dir/src/Chip.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/trellis.dir/src/Chip.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/Chip.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 32%] Building CXX object CMakeFiles/pytrellis.dir/src/DedupChipdb.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/pytrellis.dir/src/DedupChipdb.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/DedupChipdb.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/DedupChipdb.cpp: In function 'std::shared_ptr Trellis::DDChipDb::make_dedup_chipdb(Trellis::Chip&)': /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/DedupChipdb.cpp:44:27: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 44 | rid.loc = loc.first; | ^~~~~ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/DedupChipdb.hpp:4, from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/DedupChipdb.cpp:1: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/DedupChipdb.cpp:50:27: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 50 | rid.loc = loc.first; | ^~~~~ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/DedupChipdb.hpp:4, from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/DedupChipdb.cpp:1: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/DedupChipdb.cpp:56:27: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 56 | rid.loc = loc.first; | ^~~~~ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/DedupChipdb.hpp:4, from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/DedupChipdb.cpp:1: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 25%] Building CXX object CMakeFiles/pytrellis.dir/src/ChipConfig.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/pytrellis.dir/src/ChipConfig.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/ChipConfig.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 27%] Building CXX object CMakeFiles/pytrellis.dir/src/Database.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/pytrellis.dir/src/Database.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/Database.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 30%] Building CXX object CMakeFiles/trellis.dir/src/ChipConfig.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/trellis.dir/src/ChipConfig.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/ChipConfig.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 37%] Building CXX object CMakeFiles/pytrellis.dir/src/RoutingGraph.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/pytrellis.dir/src/RoutingGraph.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp: In constructor 'Trellis::RoutingGraph::RoutingGraph(const Trellis::Chip&)': /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:13:28: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 13 | tiles[GlobalLoc].loc = GlobalLoc; | ^~~~~~~~~ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:1: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:17:30: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 17 | tiles[loc].loc = loc; | ^~~ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:1: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp: In member function 'Trellis::RoutingId Trellis::IdStore::id_at_loc(int16_t, int16_t, const string&) const': /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:50:28: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 50 | rid.loc = Location(x, y); | ^ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:1: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp: In member function 'void Trellis::RoutingGraph::add_arc(Trellis::Location, const Trellis::RoutingArc&)': /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:117:17: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 117 | arcId.loc = loc; | ^~~ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:1: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp: In member function 'Trellis::RoutingBel& Trellis::RoutingBel::operator=(const Trellis::RoutingBel&)': /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:90:8: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 90 | struct RoutingBel | ^~~~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp: In member function 'void Trellis::RoutingGraph::add_bel(Trellis::RoutingBel&)': /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:138:37: note: synthesized method 'Trellis::RoutingBel& Trellis::RoutingBel::operator=(const Trellis::RoutingBel&)' first required here 138 | tiles[bel.loc].bels[bel.name] = bel; | ^~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp: In member function 'void Trellis::RoutingGraph::add_bel_input(Trellis::RoutingBel&, Trellis::ident_t, int, int, Trellis::ident_t)': /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:147:21: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 147 | belId.loc = bel.loc; | ^~~ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:1: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp: In member function 'void Trellis::RoutingGraph::add_bel_output(Trellis::RoutingBel&, Trellis::ident_t, int, int, Trellis::ident_t)': /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:159:21: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 159 | belId.loc = bel.loc; | ^~~ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:1: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 41%] Building CXX object CMakeFiles/trellis.dir/src/Database.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/trellis.dir/src/Database.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/Database.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 39%] Building CXX object CMakeFiles/pytrellis.dir/src/Tile.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/pytrellis.dir/src/Tile.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/Tile.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/Tile.cpp: In function 'std::pair Trellis::get_row_col_pair_from_chipsize(std::string, std::pair, int)': /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/Tile.cpp:13:75: warning: unused parameter 'chip_size' [-Wunused-parameter] 13 | pair get_row_col_pair_from_chipsize(string name, pair chip_size, int bias) { | ~~~~~~~~~~~~~~~^~~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/Tile.cpp:13:90: warning: unused parameter 'bias' [-Wunused-parameter] 13 | pair get_row_col_pair_from_chipsize(string name, pair chip_size, int bias) { | ~~~~^~~~ make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 44%] Building CXX object CMakeFiles/trellis.dir/src/DedupChipdb.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/trellis.dir/src/DedupChipdb.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/DedupChipdb.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/DedupChipdb.cpp: In function 'std::shared_ptr Trellis::DDChipDb::make_dedup_chipdb(Trellis::Chip&)': /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/DedupChipdb.cpp:44:27: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 44 | rid.loc = loc.first; | ^~~~~ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/DedupChipdb.hpp:4, from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/DedupChipdb.cpp:1: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/DedupChipdb.cpp:50:27: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 50 | rid.loc = loc.first; | ^~~~~ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/DedupChipdb.hpp:4, from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/DedupChipdb.cpp:1: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/DedupChipdb.cpp:56:27: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 56 | rid.loc = loc.first; | ^~~~~ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/DedupChipdb.hpp:4, from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/DedupChipdb.cpp:1: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 48%] Building CXX object CMakeFiles/trellis.dir/src/PyTrellis.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/trellis.dir/src/PyTrellis.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/PyTrellis.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 46%] Building CXX object CMakeFiles/pytrellis.dir/src/TileConfig.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/pytrellis.dir/src/TileConfig.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/TileConfig.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 55%] Building CXX object CMakeFiles/pytrellis.dir/src/Util.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/pytrellis.dir/src/Util.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/Util.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 51%] Building CXX object CMakeFiles/trellis.dir/src/RoutingGraph.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/trellis.dir/src/RoutingGraph.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp: In constructor 'Trellis::RoutingGraph::RoutingGraph(const Trellis::Chip&)': /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:13:28: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 13 | tiles[GlobalLoc].loc = GlobalLoc; | ^~~~~~~~~ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:1: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:17:30: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 17 | tiles[loc].loc = loc; | ^~~ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:1: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp: In member function 'Trellis::RoutingId Trellis::IdStore::id_at_loc(int16_t, int16_t, const string&) const': /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:50:28: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 50 | rid.loc = Location(x, y); | ^ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:1: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp: In member function 'void Trellis::RoutingGraph::add_arc(Trellis::Location, const Trellis::RoutingArc&)': /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:117:17: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 117 | arcId.loc = loc; | ^~~ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:1: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp: In member function 'Trellis::RoutingBel& Trellis::RoutingBel::operator=(const Trellis::RoutingBel&)': /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:90:8: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 90 | struct RoutingBel | ^~~~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp: In member function 'void Trellis::RoutingGraph::add_bel(Trellis::RoutingBel&)': /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:138:37: note: synthesized method 'Trellis::RoutingBel& Trellis::RoutingBel::operator=(const Trellis::RoutingBel&)' first required here 138 | tiles[bel.loc].bels[bel.name] = bel; | ^~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp: In member function 'void Trellis::RoutingGraph::add_bel_input(Trellis::RoutingBel&, Trellis::ident_t, int, int, Trellis::ident_t)': /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:147:21: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 147 | belId.loc = bel.loc; | ^~~ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:1: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp: In member function 'void Trellis::RoutingGraph::add_bel_output(Trellis::RoutingBel&, Trellis::ident_t, int, int, Trellis::ident_t)': /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:159:21: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 159 | belId.loc = bel.loc; | ^~~ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/RoutingGraph.cpp:1: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 58%] Building CXX object CMakeFiles/trellis.dir/src/TileConfig.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/trellis.dir/src/TileConfig.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/TileConfig.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 60%] Building CXX object CMakeFiles/trellis.dir/src/Util.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/trellis.dir/src/Util.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/Util.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 53%] Building CXX object CMakeFiles/trellis.dir/src/Tile.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/trellis.dir/src/Tile.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/Tile.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/Tile.cpp: In function 'std::pair Trellis::get_row_col_pair_from_chipsize(std::string, std::pair, int)': /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/Tile.cpp:13:75: warning: unused parameter 'chip_size' [-Wunused-parameter] 13 | pair get_row_col_pair_from_chipsize(string name, pair chip_size, int bias) { | ~~~~~~~~~~~~~~~^~~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/Tile.cpp:13:90: warning: unused parameter 'bias' [-Wunused-parameter] 13 | pair get_row_col_pair_from_chipsize(string name, pair chip_size, int bias) { | ~~~~^~~~ make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 62%] Linking CXX shared library libtrellis.so /usr/bin/cmake -E cmake_link_script CMakeFiles/trellis.dir/link.txt --verbose=1 /usr/bin/g++ -fPIC -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -shared -Wl,-soname,libtrellis.so -o libtrellis.so CMakeFiles/trellis.dir/src/Bels.cpp.o CMakeFiles/trellis.dir/src/BitDatabase.cpp.o CMakeFiles/trellis.dir/src/Bitstream.cpp.o CMakeFiles/trellis.dir/src/CRAM.cpp.o CMakeFiles/trellis.dir/src/Chip.cpp.o CMakeFiles/trellis.dir/src/ChipConfig.cpp.o CMakeFiles/trellis.dir/src/Database.cpp.o CMakeFiles/trellis.dir/src/DedupChipdb.cpp.o CMakeFiles/trellis.dir/src/PyTrellis.cpp.o CMakeFiles/trellis.dir/src/RoutingGraph.cpp.o CMakeFiles/trellis.dir/src/Tile.cpp.o CMakeFiles/trellis.dir/src/TileConfig.cpp.o CMakeFiles/trellis.dir/src/Util.cpp.o /usr/lib64/libboost_python38.so /usr/lib64/libboost_filesystem.so -lboost_thread -lpthread /usr/lib64/libboost_program_options.so /usr/lib64/libboost_system.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_date_time.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.9.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_system.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_date_time.so /usr/lib64/libboost_atomic.so /usr/lib64/libpython3.9.so make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[1]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 62%] Built target trellis make[1]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /usr/bin/make -f CMakeFiles/ecpmulti.dir/build.make CMakeFiles/ecpmulti.dir/depend /usr/bin/make -f CMakeFiles/ecppll.dir/build.make CMakeFiles/ecppll.dir/depend /usr/bin/make -f CMakeFiles/ecpunpack.dir/build.make CMakeFiles/ecpunpack.dir/depend make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' cd /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecppll.dir/DependInfo.cmake --color= Dependee "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecppll.dir/DependInfo.cmake" is newer than depender "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecppll.dir/depend.internal". Dependee "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/CMakeDirectoryInformation.cmake" is newer than depender "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecppll.dir/depend.internal". Scanning dependencies of target ecppll make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /usr/bin/make -f CMakeFiles/ecppll.dir/build.make CMakeFiles/ecppll.dir/build make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' cd /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecpmulti.dir/DependInfo.cmake --color= Dependee "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecpmulti.dir/DependInfo.cmake" is newer than depender "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecpmulti.dir/depend.internal". Dependee "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/CMakeDirectoryInformation.cmake" is newer than depender "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecpmulti.dir/depend.internal". Scanning dependencies of target ecpmulti make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /usr/bin/make -f CMakeFiles/ecpmulti.dir/build.make CMakeFiles/ecpmulti.dir/build make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' cd /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecpunpack.dir/DependInfo.cmake --color= Dependee "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecpunpack.dir/DependInfo.cmake" is newer than depender "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecpunpack.dir/depend.internal". Dependee "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/CMakeDirectoryInformation.cmake" is newer than depender "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecpunpack.dir/depend.internal". Scanning dependencies of target ecpunpack make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /usr/bin/make -f CMakeFiles/ecpunpack.dir/build.make CMakeFiles/ecpunpack.dir/build make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 65%] Building CXX object CMakeFiles/ecppll.dir/tools/ecppll.cpp.o /usr/bin/g++ -DTRELLIS_PREFIX=\"/usr\" -DTRELLIS_RPATH_DATADIR=\"../share\" -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/tools -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -std=gnu++14 -o CMakeFiles/ecppll.dir/tools/ecppll.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/tools/ecppll.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 69%] Building CXX object CMakeFiles/ecpunpack.dir/tools/ecpunpack.cpp.o /usr/bin/g++ -DTRELLIS_PREFIX=\"/usr\" -DTRELLIS_RPATH_DATADIR=\"../share\" -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/tools -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -std=gnu++14 -o CMakeFiles/ecpunpack.dir/tools/ecpunpack.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/tools/ecpunpack.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 72%] Building CXX object CMakeFiles/ecppll.dir/generated/version.cpp.o /usr/bin/g++ -DTRELLIS_PREFIX=\"/usr\" -DTRELLIS_RPATH_DATADIR=\"../share\" -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/tools -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -std=gnu++14 -o CMakeFiles/ecppll.dir/generated/version.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/generated/version.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 74%] Building CXX object CMakeFiles/ecpunpack.dir/generated/version.cpp.o /usr/bin/g++ -DTRELLIS_PREFIX=\"/usr\" -DTRELLIS_RPATH_DATADIR=\"../share\" -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/tools -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -std=gnu++14 -o CMakeFiles/ecpunpack.dir/generated/version.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/generated/version.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 76%] Linking CXX executable ecppll /usr/bin/cmake -E cmake_link_script CMakeFiles/ecppll.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld CMakeFiles/ecppll.dir/tools/ecppll.cpp.o CMakeFiles/ecppll.dir/generated/version.cpp.o -o ecppll -Wl,-rpath,"\$ORIGIN/../lib64/trellis" libtrellis.so /usr/lib64/libboost_python38.so /usr/lib64/libboost_filesystem.so -lboost_thread -lpthread /usr/lib64/libboost_program_options.so /usr/lib64/libboost_system.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_date_time.so /usr/lib64/libboost_atomic.so -ldl /usr/lib64/libpython3.9.so make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[1]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 79%] Built target ecppll make[1]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 79%] Linking CXX executable ecpunpack /usr/bin/cmake -E cmake_link_script CMakeFiles/ecpunpack.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld CMakeFiles/ecpunpack.dir/tools/ecpunpack.cpp.o CMakeFiles/ecpunpack.dir/generated/version.cpp.o -o ecpunpack -Wl,-rpath,"\$ORIGIN/../lib64/trellis" libtrellis.so /usr/lib64/libboost_python38.so /usr/lib64/libboost_filesystem.so -lboost_thread -lpthread /usr/lib64/libboost_program_options.so /usr/lib64/libboost_system.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_date_time.so /usr/lib64/libboost_atomic.so -ldl /usr/lib64/libpython3.9.so make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[1]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 81%] Built target ecpunpack make[1]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /usr/bin/make -f CMakeFiles/ecppack.dir/build.make CMakeFiles/ecppack.dir/depend make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' cd /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecppack.dir/DependInfo.cmake --color= Dependee "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecppack.dir/DependInfo.cmake" is newer than depender "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecppack.dir/depend.internal". Dependee "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/CMakeDirectoryInformation.cmake" is newer than depender "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecppack.dir/depend.internal". Scanning dependencies of target ecppack make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /usr/bin/make -f CMakeFiles/ecppack.dir/build.make CMakeFiles/ecppack.dir/build make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 81%] Building CXX object CMakeFiles/ecpmulti.dir/generated/version.cpp.o /usr/bin/g++ -DTRELLIS_PREFIX=\"/usr\" -DTRELLIS_RPATH_DATADIR=\"../share\" -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/tools -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -std=gnu++14 -o CMakeFiles/ecpmulti.dir/generated/version.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/generated/version.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 86%] Building CXX object CMakeFiles/ecppack.dir/generated/version.cpp.o /usr/bin/g++ -DTRELLIS_PREFIX=\"/usr\" -DTRELLIS_RPATH_DATADIR=\"../share\" -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/tools -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -std=gnu++14 -o CMakeFiles/ecppack.dir/generated/version.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/generated/version.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /usr/bin/make -f CMakeFiles/ecpbram.dir/build.make CMakeFiles/ecpbram.dir/depend make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' cd /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecpbram.dir/DependInfo.cmake --color= Dependee "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecpbram.dir/DependInfo.cmake" is newer than depender "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecpbram.dir/depend.internal". Dependee "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/CMakeDirectoryInformation.cmake" is newer than depender "/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecpbram.dir/depend.internal". Scanning dependencies of target ecpbram make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /usr/bin/make -f CMakeFiles/ecpbram.dir/build.make CMakeFiles/ecpbram.dir/build make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 67%] Building CXX object CMakeFiles/ecpmulti.dir/tools/ecpmulti.cpp.o /usr/bin/g++ -DTRELLIS_PREFIX=\"/usr\" -DTRELLIS_RPATH_DATADIR=\"../share\" -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/tools -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -std=gnu++14 -o CMakeFiles/ecpmulti.dir/tools/ecpmulti.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/tools/ecpmulti.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 90%] Linking CXX executable ecpmulti /usr/bin/cmake -E cmake_link_script CMakeFiles/ecpmulti.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld CMakeFiles/ecpmulti.dir/tools/ecpmulti.cpp.o CMakeFiles/ecpmulti.dir/generated/version.cpp.o -o ecpmulti -Wl,-rpath,"\$ORIGIN/../lib64/trellis" libtrellis.so /usr/lib64/libboost_python38.so /usr/lib64/libboost_filesystem.so -lboost_thread -lpthread /usr/lib64/libboost_program_options.so /usr/lib64/libboost_system.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_date_time.so /usr/lib64/libboost_atomic.so -ldl /usr/lib64/libpython3.9.so make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[1]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 90%] Built target ecpmulti make[1]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 93%] Building CXX object CMakeFiles/ecpbram.dir/generated/version.cpp.o /usr/bin/g++ -DTRELLIS_PREFIX=\"/usr\" -DTRELLIS_RPATH_DATADIR=\"../share\" -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/tools -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -std=gnu++14 -o CMakeFiles/ecpbram.dir/generated/version.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/generated/version.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 88%] Building CXX object CMakeFiles/ecpbram.dir/tools/ecpbram.cpp.o /usr/bin/g++ -DTRELLIS_PREFIX=\"/usr\" -DTRELLIS_RPATH_DATADIR=\"../share\" -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/tools -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -std=gnu++14 -o CMakeFiles/ecpbram.dir/tools/ecpbram.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/tools/ecpbram.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 95%] Linking CXX executable ecpbram /usr/bin/cmake -E cmake_link_script CMakeFiles/ecpbram.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld CMakeFiles/ecpbram.dir/tools/ecpbram.cpp.o CMakeFiles/ecpbram.dir/generated/version.cpp.o -o ecpbram -Wl,-rpath,"\$ORIGIN/../lib64/trellis" libtrellis.so /usr/lib64/libboost_python38.so /usr/lib64/libboost_filesystem.so -lboost_thread -lpthread /usr/lib64/libboost_program_options.so /usr/lib64/libboost_system.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_date_time.so /usr/lib64/libboost_atomic.so -ldl /usr/lib64/libpython3.9.so make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[1]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 95%] Built target ecpbram make[1]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 83%] Building CXX object CMakeFiles/ecppack.dir/tools/ecppack.cpp.o /usr/bin/g++ -DTRELLIS_PREFIX=\"/usr\" -DTRELLIS_RPATH_DATADIR=\"../share\" -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/tools -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -std=gnu++14 -o CMakeFiles/ecppack.dir/tools/ecppack.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/tools/ecppack.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 97%] Linking CXX executable ecppack /usr/bin/cmake -E cmake_link_script CMakeFiles/ecppack.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld CMakeFiles/ecppack.dir/tools/ecppack.cpp.o CMakeFiles/ecppack.dir/generated/version.cpp.o -o ecppack -Wl,-rpath,"\$ORIGIN/../lib64/trellis" libtrellis.so /usr/lib64/libboost_python38.so /usr/lib64/libboost_filesystem.so -lboost_thread -lpthread /usr/lib64/libboost_program_options.so /usr/lib64/libboost_system.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_date_time.so /usr/lib64/libboost_atomic.so -ldl /usr/lib64/libpython3.9.so make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[1]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 97%] Built target ecppack make[1]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 34%] Building CXX object CMakeFiles/pytrellis.dir/src/PyTrellis.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include -I/usr/include/python3.9 -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -o CMakeFiles/pytrellis.dir/src/PyTrellis.cpp.o -c /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/PyTrellis.cpp make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/PyTrellis.cpp:8: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp: In instantiation of 'static void boost::python::map_indexing_suite::set_item(Container&, boost::python::map_indexing_suite::index_type, const data_type&) [with Container = std::map; bool NoProxy = false; DerivedPolicies = boost::python::detail::final_map_derived_policies, false>; boost::python::map_indexing_suite::index_type = int; boost::python::map_indexing_suite::data_type = Trellis::RoutingBel]': /usr/include/boost/python/suite/indexing/indexing_suite.hpp:227:33: required from 'static void boost::python::indexing_suite::base_set_item(Container&, PyObject*, PyObject*) [with Container = std::map; DerivedPolicies = boost::python::detail::final_map_derived_policies, false>; bool NoProxy = false; bool NoSlice = true; Data = Trellis::RoutingBel; Index = int; Key = int; PyObject = _object]' /usr/include/boost/python/suite/indexing/indexing_suite.hpp:182:37: required from 'void boost::python::indexing_suite::visit(Class&) const [with Class = boost::python::class_ >; Container = std::map; DerivedPolicies = boost::python::detail::final_map_derived_policies, false>; bool NoProxy = false; bool NoSlice = true; Data = Trellis::RoutingBel; Index = int; Key = int]' /usr/include/boost/python/def_visitor.hpp:31:34: required from 'static void boost::python::def_visitor_access::visit(const V&, classT&) [with V = boost::python::def_visitor, boost::python::detail::final_map_derived_policies, false>, false, true, Trellis::RoutingBel, int, int> >; classT = boost::python::class_ >]' /usr/include/boost/python/def_visitor.hpp:67:34: required from 'void boost::python::def_visitor::visit(classT&) const [with classT = boost::python::class_ >; DerivedVisitor = boost::python::indexing_suite, boost::python::detail::final_map_derived_policies, false>, false, true, Trellis::RoutingBel, int, int>]' /usr/include/boost/python/class.hpp:221:22: required from 'boost::python::class_::self& boost::python::class_::def(const boost::python::def_visitor&) [with Derived = boost::python::indexing_suite, boost::python::detail::final_map_derived_policies, false>, false, true, Trellis::RoutingBel, int, int>; W = std::map; X1 = boost::python::detail::not_specified; X2 = boost::python::detail::not_specified; X3 = boost::python::detail::not_specified; boost::python::class_::self = boost::python::class_ >]' /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/PyTrellis.cpp:433:64: required from here /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:90:8: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 90 | struct RoutingBel | ^~~~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/PyTrellis.cpp:20: /usr/include/boost/python/suite/indexing/map_indexing_suite.hpp:131:26: note: synthesized method 'Trellis::RoutingBel& Trellis::RoutingBel::operator=(const Trellis::RoutingBel&)' first required here 131 | container[i] = v; | ~~~~~~~~~~~~~^~~ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/PyTrellis.cpp:8: /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp: In instantiation of 'static void boost::python::map_indexing_suite::set_item(Container&, boost::python::map_indexing_suite::index_type, const data_type&) [with Container = std::map; bool NoProxy = false; DerivedPolicies = boost::python::detail::final_map_derived_policies, false>; boost::python::map_indexing_suite::index_type = Trellis::Location; boost::python::map_indexing_suite::data_type = Trellis::RoutingTileLoc]': /usr/include/boost/python/suite/indexing/indexing_suite.hpp:227:33: required from 'static void boost::python::indexing_suite::base_set_item(Container&, PyObject*, PyObject*) [with Container = std::map; DerivedPolicies = boost::python::detail::final_map_derived_policies, false>; bool NoProxy = false; bool NoSlice = true; Data = Trellis::RoutingTileLoc; Index = Trellis::Location; Key = Trellis::Location; PyObject = _object]' /usr/include/boost/python/suite/indexing/indexing_suite.hpp:182:37: required from 'void boost::python::indexing_suite::visit(Class&) const [with Class = boost::python::class_ >; Container = std::map; DerivedPolicies = boost::python::detail::final_map_derived_policies, false>; bool NoProxy = false; bool NoSlice = true; Data = Trellis::RoutingTileLoc; Index = Trellis::Location; Key = Trellis::Location]' /usr/include/boost/python/def_visitor.hpp:31:34: required from 'static void boost::python::def_visitor_access::visit(const V&, classT&) [with V = boost::python::def_visitor, boost::python::detail::final_map_derived_policies, false>, false, true, Trellis::RoutingTileLoc, Trellis::Location, Trellis::Location> >; classT = boost::python::class_ >]' /usr/include/boost/python/def_visitor.hpp:67:34: required from 'void boost::python::def_visitor::visit(classT&) const [with classT = boost::python::class_ >; DerivedVisitor = boost::python::indexing_suite, boost::python::detail::final_map_derived_policies, false>, false, true, Trellis::RoutingTileLoc, Trellis::Location, Trellis::Location>]' /usr/include/boost/python/class.hpp:221:22: required from 'boost::python::class_::self& boost::python::class_::def(const boost::python::def_visitor&) [with Derived = boost::python::indexing_suite, boost::python::detail::final_map_derived_policies, false>, false, true, Trellis::RoutingTileLoc, Trellis::Location, Trellis::Location>; W = std::map; X1 = boost::python::detail::not_specified; X2 = boost::python::detail::not_specified; X3 = boost::python::detail::not_specified; boost::python::class_::self = boost::python::class_ >]' /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/PyTrellis.cpp:442:69: required from here /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:99:8: warning: implicitly-declared 'constexpr Trellis::Location& Trellis::Location::operator=(const Trellis::Location&)' is deprecated [-Wdeprecated-copy] 99 | struct RoutingTileLoc | ^~~~~~~~~~~~~~ /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/include/RoutingGraph.hpp:26:5: note: because 'Trellis::Location' has user-provided 'Trellis::Location::Location(const Trellis::Location&)' 26 | Location(const Location &loc) : x(loc.x), y(loc.y) | ^~~~~~~~ In file included from /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis/src/PyTrellis.cpp:20: /usr/include/boost/python/suite/indexing/map_indexing_suite.hpp:131:26: note: synthesized method 'Trellis::RoutingTileLoc& Trellis::RoutingTileLoc::operator=(const Trellis::RoutingTileLoc&)' first required here 131 | container[i] = v; | ~~~~~~~~~~~~~^~~ make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [100%] Linking CXX shared module pytrellis.so /usr/bin/cmake -E cmake_link_script CMakeFiles/pytrellis.dir/link.txt --verbose=1 /usr/bin/g++ -fPIC -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -shared -o pytrellis.so CMakeFiles/pytrellis.dir/src/Bels.cpp.o CMakeFiles/pytrellis.dir/src/BitDatabase.cpp.o CMakeFiles/pytrellis.dir/src/Bitstream.cpp.o CMakeFiles/pytrellis.dir/src/CRAM.cpp.o CMakeFiles/pytrellis.dir/src/Chip.cpp.o CMakeFiles/pytrellis.dir/src/ChipConfig.cpp.o CMakeFiles/pytrellis.dir/src/Database.cpp.o CMakeFiles/pytrellis.dir/src/DedupChipdb.cpp.o CMakeFiles/pytrellis.dir/src/PyTrellis.cpp.o CMakeFiles/pytrellis.dir/src/RoutingGraph.cpp.o CMakeFiles/pytrellis.dir/src/Tile.cpp.o CMakeFiles/pytrellis.dir/src/TileConfig.cpp.o CMakeFiles/pytrellis.dir/src/Util.cpp.o /usr/lib64/libboost_python38.so /usr/lib64/libboost_filesystem.so -lboost_thread -lpthread /usr/lib64/libboost_program_options.so /usr/lib64/libboost_system.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_date_time.so /usr/lib64/libboost_atomic.so /usr/lib64/libpython3.9.so make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[1]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [100%] Built target pytrellis make[1]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles 0 + /usr/bin/make -O -j4 V=1 VERBOSE=1 -C docs latexpdf make: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/docs' Running Sphinx v2.2.2 making output directory... done {'code2docs': {}, 'docs2code': {}} building [mo]: targets for 0 po files that are out of date building [latex]: all documents updating environment: [new config] 11 added, 0 changed, 0 removed reading sources... [ 9%] architecture/bitstream_format reading sources... [ 18%] architecture/general_routing reading sources... [ 27%] architecture/global_routing reading sources... [ 36%] architecture/glossary reading sources... [ 45%] architecture/overview reading sources... [ 54%] architecture/tiles reading sources... [ 63%] db_dev_process/overview reading sources... [ 72%] dsp_support/dsp_support reading sources... [ 81%] index reading sources... [ 90%] libtrellis/overview reading sources... [100%] libtrellis/textconfig looking for now-outdated files... none found pickling environment... done checking consistency... done processing ProjectTrellis.tex... index architecture/overview architecture/tiles architecture/general_routing architecture/global_routing architecture/bitstream_format architecture/glossary db_dev_process/overview libtrellis/overview libtrellis/textconfig dsp_support/dsp_support resolving references... done writing... done copying TeX support files... copying TeX support files... done build succeeded, 3 warnings. The LaTeX files are in _build/latex. Run 'make' in that directory to run these through (pdf)latex (use `make latexpdf' here to do that automatically). make[1]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/docs/_build/latex' make[1]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/docs/_build/latex' make[1]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/docs/_build/latex' latexmk -pdf -dvi- -ps- 'ProjectTrellis.tex' Latexmk: applying rule 'pdflatex'... This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./ProjectTrellis.tex LaTeX2e <2020-02-02> patch level 5 L3 programming layer <2020-04-06> (./sphinxmanual.cls Document Class: sphinxmanual 2018/12/23 v2.0 Document class (Sphinx manual) (/usr/share/texlive/texmf-dist/tex/latex/base/report.cls Document Class: report 2019/12/20 v1.4l Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/times.sty) (/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty) (/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) (./sphinxmulticell.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (./footnotehyper-sphinx.sty) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty) (./sphinxhighlight.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty))) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/latex/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/generic/atbegshi/atbegshi.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/atveryend/atveryend.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty) Writing index file ProjectTrellis.idx (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdfmode.def) (./ProjectTrellis.aux) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd ) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1phv.fd)<> (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/usr/share/texliv e/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] [2] [1] [2] [1] [2] Chapter 1. LaTeX Warning: Hyper reference `architecture/tiles::doc' on page 3 undefined on input line 98. LaTeX Warning: Hyper reference `architecture/general_routing::doc' on page 3 un defined on input line 101. LaTeX Warning: Hyper reference `architecture/global_routing::doc' on page 3 und efined on input line 102. LaTeX Warning: Hyper reference `architecture/glossary:term-quadrant' on page 3 undefined on input line 103. [3] [4] Chapter 2. (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1pcr.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ts1ptm.fd) [5] LaTeX Warning: Hyper reference `architecture/global_routing::doc' on page 6 und efined on input line 189. [6] [7] [8] Chapter 3. [9] [10] Chapter 4. [11] [12] [13] [14] Chapter 5. Underfull \hbox (badness 5548) in paragraph at lines 764--766 []|\T1/ptm/m/n/10 Ignored, used for Underfull \hbox (badness 10000) in paragraph at lines 796--799 []|\T1/ptm/m/n/10 This checks the Underfull \hbox (badness 10000) in paragraph at lines 796--799 \T1/ptm/m/n/10 ac-tual de-vice ID Underfull \hbox (badness 10000) in paragraph at lines 796--799 \T1/ptm/m/n/10 against the given Underfull \hbox (badness 10000) in paragraph at lines 837--838 []\T1/ptm/m/n/10 32 bit Ctl- Underfull \hbox (badness 10000) in paragraph at lines 841--844 \T1/ptm/m/n/10 of de-vice con-trol Underfull \hbox (badness 10000) in paragraph at lines 887--889 \T1/ptm/m/n/10 rity bit (pre-vents [15] [16] [17] [18] Chapter 6. LaTeX Warning: Hyper reference `architecture/glossary:term-fpga' on page 19 und efined on input line 1206. LaTeX Warning: Hyper reference `architecture/glossary:term-fpga' on page 19 und efined on input line 1210. LaTeX Warning: Hyper reference `architecture/glossary:term-frame' on page 19 un defined on input line 1211. LaTeX Warning: Hyper reference `architecture/glossary:term-fpga' on page 19 und efined on input line 1219. LaTeX Warning: Hyper reference `architecture/glossary:term-hdl' on page 19 unde fined on input line 1225. LaTeX Warning: Hyper reference `architecture/glossary:term-specimen' on page 19 undefined on input line 1235. LaTeX Warning: Hyper reference `architecture/glossary:term-database' on page 19 undefined on input line 1236. LaTeX Warning: Hyper reference `architecture/glossary:term-tile' on page 19 und efined on input line 1239. LaTeX Warning: Hyper reference `architecture/glossary:term-tile' on page 19 und efined on input line 1244. LaTeX Warning: Hyper reference `architecture/glossary:term-tile' on page 19 und efined on input line 1259. LaTeX Warning: Hyper reference `architecture/glossary:term-fpga' on page 19 und efined on input line 1262. LaTeX Warning: Hyper reference `architecture/glossary:term-wire' on page 19 und efined on input line 1271. LaTeX Warning: Hyper reference `architecture/glossary:term-tile' on page 19 und efined on input line 1272. [19] LaTeX Warning: Hyper reference `architecture/glossary:term-fpga' on page 20 und efined on input line 1278. LaTeX Warning: Hyper reference `architecture/glossary:term-wire' on page 20 und efined on input line 1286. LaTeX Warning: Hyper reference `architecture/glossary:term-arc' on page 20 unde fined on input line 1286. LaTeX Warning: Hyper reference `architecture/glossary:term-fpga' on page 20 und efined on input line 1287. LaTeX Warning: Hyper reference `architecture/glossary:term-bitstream' on page 2 0 undefined on input line 1293. LaTeX Warning: Hyper reference `architecture/glossary:term-tile' on page 20 und efined on input line 1304. [20] Chapter 7. [21] [22] [23] [24] Chapter 8. [25] LaTeX Warning: Hyper reference `libtrellis/textconfig::doc' on page 26 undefine d on input line 1556. [26] [27] [28] Chapter 9. [29] [30] Chapter 10. No file ProjectTrellis.ind. [31] (./ProjectTrellis.aux) Package rerunfilecheck Warning: File `ProjectTrellis.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/base/8r.enc} Output written on ProjectTrellis.pdf (35 pages, 143668 bytes). Transcript written on ProjectTrellis.log. === TeX engine is 'pdfTeX' Latexmk: applying rule 'makeindex ProjectTrellis.idx'... Latexmk: applying rule 'pdflatex'... This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./ProjectTrellis.tex LaTeX2e <2020-02-02> patch level 5 L3 programming layer <2020-04-06> (./sphinxmanual.cls Document Class: sphinxmanual 2018/12/23 v2.0 Document class (Sphinx manual) (/usr/share/texlive/texmf-dist/tex/latex/base/report.cls Document Class: report 2019/12/20 v1.4l Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/times.sty) (/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty) (/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) (./sphinxmulticell.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (./footnotehyper-sphinx.sty) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty) (./sphinxhighlight.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty))) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/latex/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/generic/atbegshi/atbegshi.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/atveryend/atveryend.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty) Writing index file ProjectTrellis.idx (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdfmode.def) (./ProjectTrellis.aux) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd ) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (./ProjectTrellis.out) (./ProjectTrellis.out) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1phv.fd)<> (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/usr/share/texliv e/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] [2] (./ProjectTrellis.toc [1]) [2] [1] [2] Chapter 1. [3] [4] Chapter 2. (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1pcr.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ts1ptm.fd) [5] [6] [7] [8] Chapter 3. [9] [10] Chapter 4. [11] [12] [13] [14] Chapter 5. Underfull \hbox (badness 5548) in paragraph at lines 764--766 []|\T1/ptm/m/n/10 Ignored, used for Underfull \hbox (badness 10000) in paragraph at lines 796--799 []|\T1/ptm/m/n/10 This checks the Underfull \hbox (badness 10000) in paragraph at lines 796--799 \T1/ptm/m/n/10 ac-tual de-vice ID Underfull \hbox (badness 10000) in paragraph at lines 796--799 \T1/ptm/m/n/10 against the given Underfull \hbox (badness 10000) in paragraph at lines 837--838 []\T1/ptm/m/n/10 32 bit Ctl- Underfull \hbox (badness 10000) in paragraph at lines 841--844 \T1/ptm/m/n/10 of de-vice con-trol Underfull \hbox (badness 10000) in paragraph at lines 887--889 \T1/ptm/m/n/10 rity bit (pre-vents [15] [16] [17] [18] Chapter 6. [19] [20] Chapter 7. [21] [22] [23] [24] Chapter 8. [25] [26] [27] [28] Chapter 9. [29] [30] Chapter 10. (./ProjectTrellis.ind [31] [32] [33]) (./ProjectTrellis.aux) Package rerunfilecheck Warning: File `ProjectTrellis.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/base/8r.enc} Output written on ProjectTrellis.pdf (37 pages, 154163 bytes). Transcript written on ProjectTrellis.log. === TeX engine is 'pdfTeX' Latexmk: applying rule 'pdflatex'... This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./ProjectTrellis.tex LaTeX2e <2020-02-02> patch level 5 L3 programming layer <2020-04-06> (./sphinxmanual.cls Document Class: sphinxmanual 2018/12/23 v2.0 Document class (Sphinx manual) (/usr/share/texlive/texmf-dist/tex/latex/base/report.cls Document Class: report 2019/12/20 v1.4l Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/times.sty) (/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty) (/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) (./sphinxmulticell.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (./footnotehyper-sphinx.sty) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty) (./sphinxhighlight.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty))) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/latex/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/generic/atbegshi/atbegshi.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/atveryend/atveryend.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty) Writing index file ProjectTrellis.idx (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdfmode.def) (./ProjectTrellis.aux) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd ) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (./ProjectTrellis.out) (./ProjectTrellis.out) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1phv.fd)<> (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/usr/share/texliv e/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] [2] (./ProjectTrellis.toc [1]) [2] [1] [2] Chapter 1. [3] [4] Chapter 2. (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1pcr.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ts1ptm.fd) [5] [6] [7] [8] Chapter 3. [9] [10] Chapter 4. [11] [12] [13] [14] Chapter 5. Underfull \hbox (badness 5548) in paragraph at lines 764--766 []|\T1/ptm/m/n/10 Ignored, used for Underfull \hbox (badness 10000) in paragraph at lines 796--799 []|\T1/ptm/m/n/10 This checks the Underfull \hbox (badness 10000) in paragraph at lines 796--799 \T1/ptm/m/n/10 ac-tual de-vice ID Underfull \hbox (badness 10000) in paragraph at lines 796--799 \T1/ptm/m/n/10 against the given Underfull \hbox (badness 10000) in paragraph at lines 837--838 []\T1/ptm/m/n/10 32 bit Ctl- Underfull \hbox (badness 10000) in paragraph at lines 841--844 \T1/ptm/m/n/10 of de-vice con-trol Underfull \hbox (badness 10000) in paragraph at lines 887--889 \T1/ptm/m/n/10 rity bit (pre-vents [15] [16] [17] [18] Chapter 6. [19] [20] Chapter 7. [21] [22] [23] [24] Chapter 8. [25] [26] [27] [28] Chapter 9. [29] [30] Chapter 10. (./ProjectTrellis.ind [31] [32] [33]) (./ProjectTrellis.aux) ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/base/8r.enc} Output written on ProjectTrellis.pdf (37 pages, 154229 bytes). Transcript written on ProjectTrellis.log. === TeX engine is 'pdfTeX' Latexmk: All targets (ProjectTrellis.pdf) are up-to-date make[1]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/docs/_build/latex' make: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/docs' /bin/sh: git: command not found WARNING: html_static_path entry '_static' does not exist /usr/lib/python3.9/site-packages/sphinx/util/compat.py:30: RemovedInSphinx30Warning: The config variable "source_parsers" is deprecated. Please update your extension for the parser and remove the setting. warnings.warn('The config variable "source_parsers" is deprecated. ' /usr/lib/python3.9/site-packages/sphinx/util/compat.py:36: RemovedInSphinx30Warning: app.add_source_parser() does not support suffix argument. Use app.add_source_suffix() instead. app.add_source_parser(suffix, parser) /usr/lib/python3.9/site-packages/sphinx/util/nodes.py:151: FutureWarning: The iterable returned by Node.traverse() will become an iterator instead of a list in Docutils > 0.16. for classifier in reversed(node.parent.traverse(nodes.classifier)): /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/docs/architecture/bitstream_format.rst:110: WARNING: Block quote ends without a blank line; unexpected unindent. /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/docs/architecture/bitstream_format.rst:145: WARNING: Unexpected indentation. /usr/lib/python3.9/site-packages/sphinx/builders/latex/__init__.py:239: FutureWarning: The iterable returned by Node.traverse() will become an iterator instead of a list in Docutils > 0.16. if toctrees[0].get('maxdepth') > 0: make[1]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. Rc files read: /etc/latexmk.conf latexmkrc Latexmk: This is Latexmk, John Collins, 17 Apr. 2020, version: 4.69a. Rule 'pdflatex': The following rules & subrules became out-of-date: 'pdflatex' ------------ Run number 1 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "ProjectTrellis.tex"' ------------ kpathsea: Running mktexfmt pdflatex.fmt mktexfmt: mktexfmt is using the following fmtutil.cnf files (in precedence order): mktexfmt: /usr/share/texlive/texmf-dist/web2c/fmtutil.cnf mktexfmt: mktexfmt is using the following fmtutil.cnf file for writing changes: mktexfmt: /builddir/.texlive2020/texmf-config/web2c/fmtutil.cnf mktexfmt [INFO]: writing formats under /builddir/.texlive2020/texmf-var/web2c mktexfmt [INFO]: --- remaking pdflatex with pdftex mktexfmt: running `pdftex -ini -jobname=pdflatex -progname=pdflatex -translate-file=cp227.tcx *pdflatex.ini' ... This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (INITEX) restricted \write18 enabled. (/usr/share/texlive/texmf-dist/web2c/cp227.tcx) entering extended mode (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/pdflatex.ini (/usr/share/texlive/texmf-dist/tex/generic/tex-ini-files/pdftexconfig.tex) (/usr/share/texlive/texmf-dist/tex/latex/base/latex.ltx (/usr/share/texlive/texmf-dist/tex/latex/base/texsys.cfg) ./texsys.aux found \@currdir set to: ./. Assuming \openin and \input have the same search path. Defining UNIX/DOS style filename parser. catcodes, registers, parameters, LaTeX2e <2020-02-02> patch level 5 hacks, control, par, spacing, files, font encodings, lengths, ==================================== Local config file fonttext.cfg used ==================================== (/usr/share/texlive/texmf-dist/tex/latex/base/fonttext.cfg (/usr/share/texlive/texmf-dist/tex/latex/base/fonttext.ltx === Don't modify this file, use a .cfg file instead === (/usr/share/texlive/texmf-dist/tex/latex/base/omlenc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/omsenc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/t1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1cmss.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1cmtt.fd))) ==================================== Local config file fontmath.cfg used ==================================== (/usr/share/texlive/texmf-dist/tex/latex/base/fontmath.cfg (/usr/share/texlive/texmf-dist/tex/latex/base/fontmath.ltx === Don't modify this file, use a .cfg file instead === (/usr/share/texlive/texmf-dist/tex/latex/base/omlcmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/omscmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/omxcmex.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ucmr.fd))) ==================================== Local config file preload.cfg used ===================================== (/usr/share/texlive/texmf-dist/tex/latex/base/preload.cfg (/usr/share/texlive/texmf-dist/tex/latex/base/preload.ltx)) page nos., x-ref, environments, center, verbatim, math definitions, boxes, title, sectioning, contents, floats, footnotes, index, bibliography, output, =========================================== Local configuration file hyphen.cfg used =========================================== (/usr/share/texlive/texmf-dist/tex/generic/babel/hyphen.cfg (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/hyphen/hyphen.tex) (/usr/share/texlive/texmf-dist/tex/generic/hyphen/dumyhyph.tex) (/usr/share/texlive/texmf-dist/tex/generic/hyphen/zerohyph.tex)) (/usr/share/texlive/texmf-dist/tex/latex/base/utf8.def (/usr/share/texlive/texmf-dist/tex/latex/base/omsenc.dfu) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1enc.dfu) (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.dfu) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu)) (/usr/share/texlive/texmf-dist/tex/latex/base/ltexpl.ltx (/usr/share/texlive/texmf-dist/tex/latex/l3kernel/expl3.ltx (/usr/share/texlive/texmf-dist/tex/latex/l3kernel/expl3-code.tex (/usr/share/texlive/texmf-dist/tex/latex/l3kernel/l3deprecation.def)))) ) ) Beginning to dump on file pdflatex.fmt (preloaded format=pdflatex 2020.6.8) 17445 strings of total length 297854 233798 memory locations dumped; current usage is 165&226831 15747 multiletter control sequences \font\nullfont=nullfont \font\OMX/cmex/m/n/10=cmex10 \font\tenln=line10 \font\tenlnw=linew10 \font\tencirc=lcircle10 \font\tencircw=lcirclew10 \font\OT1/cmr/m/n/5=cmr5 \font\OT1/cmr/m/n/7=cmr7 \font\OT1/cmr/m/n/10=cmr10 \font\OML/cmm/m/it/5=cmmi5 \font\OML/cmm/m/it/7=cmmi7 \font\OML/cmm/m/it/10=cmmi10 \font\OMS/cmsy/m/n/5=cmsy5 \font\OMS/cmsy/m/n/7=cmsy7 \font\OMS/cmsy/m/n/10=cmsy10 \font\c__fp_exp_intarray=cmr10 at 0.00002pt \font\c__fp_trig_intarray=cmr10 at 0.00003pt \font\g__regex_charcode_intarray=cmr10 at 0.00005pt \font\g__regex_catcode_intarray=cmr10 at 0.00006pt \font\g__regex_balance_intarray=cmr10 at 0.00008pt \font\g__regex_state_active_intarray=cmr10 at 0.00009pt \font\g__regex_thread_state_intarray=cmr10 at 0.0001pt \font\g__regex_submatch_prev_intarray=cmr10 at 0.00012pt \font\g__regex_submatch_begin_intarray=cmr10 at 0.00014pt \font\g__regex_submatch_end_intarray=cmr10 at 0.00015pt 532331 words of font info for 24 preloaded fonts 14 hyphenation exceptions Hyphenation trie of length 6081 has 183 ops out of 35111 2 for language 1 181 for language 0 0 words of pdfTeX memory 0 indirect objects No pages of output. Transcript written on pdflatex.log. mktexfmt [INFO]: log file copied to: /builddir/.texlive2020/texmf-var/web2c/pdftex/pdflatex.log mktexfmt [INFO]: /builddir/.texlive2020/texmf-var/web2c/pdftex/pdflatex.fmt installed. mktexfmt [INFO]: successfully rebuilt formats: 1 mktexfmt [INFO]: not selected formats: 26 mktexfmt [INFO]: total formats: 27 mktexfmt [INFO]: exiting with status 0 Latexmk: Index file 'ProjectTrellis.idx' was written Latexmk: Missing input file: 'ProjectTrellis.ind' from line 'No file ProjectTrellis.ind.' Latexmk: References changed. Latexmk: References changed. Latexmk: Log file says output to 'ProjectTrellis.pdf' Rule 'makeindex ProjectTrellis.idx': File changes, etc: Changed files, or newly in use since previous run(s): 'ProjectTrellis.idx' ------------ Run number 1 of rule 'makeindex ProjectTrellis.idx' ------------ ------------ Running 'makeindex -s python.ist -o "ProjectTrellis.ind" "ProjectTrellis.idx"' ------------ This is makeindex, version 2.15 [TeX Live 2020] (kpathsea + Thai support). Scanning style file ./python.ist.......done (7 attributes redefined, 0 ignored). Scanning input file ProjectTrellis.idx....done (25 entries accepted, 0 rejected). Sorting entries....done (102 comparisons). Generating output file ProjectTrellis.ind....done (79 lines written, 0 warnings). Output written in ProjectTrellis.ind. Transcript written in ProjectTrellis.ilg. Rule 'pdflatex': File changes, etc: Changed files, or newly in use since previous run(s): 'ProjectTrellis.aux' 'ProjectTrellis.ind' 'ProjectTrellis.out' ------------ Run number 2 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "ProjectTrellis.tex"' ------------ Latexmk: Index file 'ProjectTrellis.idx' was written Latexmk: References changed. Latexmk: Log file says output to 'ProjectTrellis.pdf' Rule 'pdflatex': File changes, etc: Changed files, or newly in use since previous run(s): 'ProjectTrellis.aux' 'ProjectTrellis.out' 'ProjectTrellis.toc' ------------ Run number 3 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "ProjectTrellis.tex"' ------------ Latexmk: Index file 'ProjectTrellis.idx' was written Latexmk: Log file says output to 'ProjectTrellis.pdf' + mkdir man1 + for f in ecp* + '[' -x ecpbram ']' + LD_PRELOAD=./libtrellis.so + help2man --no-discard-stderr --version-string 1.0 -N -o man1/ecpbram.1 ./ecpbram + sed -i '/required but missing/d' man1/ecpbram.1 + for f in ecp* + '[' -x ecpmulti ']' + LD_PRELOAD=./libtrellis.so + help2man --no-discard-stderr --version-string 1.0 -N -o man1/ecpmulti.1 ./ecpmulti + sed -i '/required but missing/d' man1/ecpmulti.1 + for f in ecp* + '[' -x ecppack ']' + LD_PRELOAD=./libtrellis.so + help2man --no-discard-stderr --version-string 1.0 -N -o man1/ecppack.1 ./ecppack + sed -i '/required but missing/d' man1/ecppack.1 + for f in ecp* + '[' -x ecppll ']' + LD_PRELOAD=./libtrellis.so + help2man --no-discard-stderr --version-string 1.0 -N -o man1/ecppll.1 ./ecppll + sed -i '/required but missing/d' man1/ecppll.1 + for f in ecp* + '[' -x ecpunpack ']' + LD_PRELOAD=./libtrellis.so + help2man --no-discard-stderr --version-string 1.0 -N -o man1/ecpunpack.1 ./ecpunpack + sed -i '/required but missing/d' man1/ecpunpack.1 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.3VAPfT + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64 ++ dirname /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64 + cd prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 + /usr/bin/make install DESTDIR=/builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64 'INSTALL=/usr/bin/install -p' PREFIX=/usr /usr/bin/cmake -S/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis -B/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/progress.marks /usr/bin/make -f CMakeFiles/Makefile2 all make[1]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /usr/bin/make -f CMakeFiles/trellis.dir/build.make CMakeFiles/trellis.dir/depend make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' cd /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/trellis.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /usr/bin/make -f CMakeFiles/trellis.dir/build.make CMakeFiles/trellis.dir/build make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Nothing to be done for 'CMakeFiles/trellis.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 32%] Built target trellis /usr/bin/make -f CMakeFiles/ecpmulti.dir/build.make CMakeFiles/ecpmulti.dir/depend make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' cd /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecpmulti.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /usr/bin/make -f CMakeFiles/ecpmulti.dir/build.make CMakeFiles/ecpmulti.dir/build make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Nothing to be done for 'CMakeFiles/ecpmulti.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 39%] Built target ecpmulti /usr/bin/make -f CMakeFiles/ecppll.dir/build.make CMakeFiles/ecppll.dir/depend make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' cd /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecppll.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /usr/bin/make -f CMakeFiles/ecppll.dir/build.make CMakeFiles/ecppll.dir/build make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Nothing to be done for 'CMakeFiles/ecppll.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 46%] Built target ecppll /usr/bin/make -f CMakeFiles/ecpunpack.dir/build.make CMakeFiles/ecpunpack.dir/depend make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' cd /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecpunpack.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /usr/bin/make -f CMakeFiles/ecpunpack.dir/build.make CMakeFiles/ecpunpack.dir/build make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Nothing to be done for 'CMakeFiles/ecpunpack.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 53%] Built target ecpunpack /usr/bin/make -f CMakeFiles/pytrellis.dir/build.make CMakeFiles/pytrellis.dir/depend make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' cd /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/pytrellis.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /usr/bin/make -f CMakeFiles/pytrellis.dir/build.make CMakeFiles/pytrellis.dir/build make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Nothing to be done for 'CMakeFiles/pytrellis.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 86%] Built target pytrellis /usr/bin/make -f CMakeFiles/ecppack.dir/build.make CMakeFiles/ecppack.dir/depend make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' cd /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecppack.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /usr/bin/make -f CMakeFiles/ecppack.dir/build.make CMakeFiles/ecppack.dir/build make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Nothing to be done for 'CMakeFiles/ecppack.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [ 93%] Built target ecppack /usr/bin/make -f CMakeFiles/ecpbram.dir/build.make CMakeFiles/ecpbram.dir/depend make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' cd /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/libtrellis /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles/ecpbram.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /usr/bin/make -f CMakeFiles/ecpbram.dir/build.make CMakeFiles/ecpbram.dir/build make[2]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[2]: Nothing to be done for 'CMakeFiles/ecpbram.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' [100%] Built target ecpbram make[1]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94/CMakeFiles 0 /usr/bin/make -f CMakeFiles/Makefile2 preinstall make[1]: Entering directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' make[1]: Nothing to be done for 'preinstall'. make[1]: Leaving directory '/builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94' Install the project... /usr/bin/cmake -P cmake_install.cmake -- Install configuration: "" -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/lib64/trellis/libtrellis.so -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/bin/ecpbram -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/bin/ecppack -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/bin/ecppll -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/bin/ecpunpack -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/bin/ecpmulti -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/lib64/trellis/pytrellis.so -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/devices.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM-85F -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM-85F/iodb.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM-85F/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM-85F/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM-45F -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM-45F/iodb.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM-45F/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM-45F/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/timing -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/timing/speed_8 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/timing/speed_8/interconnect.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/timing/speed_8/cells.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/timing/speed_8_5G -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/timing/speed_8_5G/interconnect.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/timing/speed_8_5G/cells.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/timing/speed_6 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/timing/speed_6/interconnect.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/timing/speed_6/cells.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/timing/speed_7 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/timing/speed_7/interconnect.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/timing/speed_7/cells.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM5G-85F -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM5G-85F/iodb.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM5G-85F/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM5G-85F/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM-25F -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM-25F/iodb.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM-25F/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM-25F/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM5G-45F -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM5G-45F/iodb.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM5G-45F/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM5G-45F/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5U-85F -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5U-85F/iodb.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5U-85F/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5U-85F/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM5G-25F -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM5G-25F/iodb.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM5G-25F/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5UM5G-25F/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5U-25F -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5U-25F/iodb.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5U-25F/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5U-25F/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/POR -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/POR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_DSP2 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_DSP2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BMID_0H -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BMID_0H/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_EBR0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_EBR0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_DSP0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_DSP0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BANKREF4 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BANKREF4/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DSP_CMUX_UL -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DSP_CMUX_UL/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BANKREF3 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BANKREF3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DCU8 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DCU8/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DCU6 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DCU6/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_EBR3 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_EBR3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_UL -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_UL/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICL2_DQS1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICL2_DQS1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICL2 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICL2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCU1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCU1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DCU5 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DCU5/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCU2 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCU2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICR1_DQS0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICR1_DQS0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EFB2_PICB0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EFB2_PICB0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BANKREF1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BANKREF1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_7 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_7/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCUF -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCUF/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCU1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCU1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BANKREF0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BANKREF0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCU3 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCU3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/TAP_DRIVE -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/TAP_DRIVE/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCU0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCU0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICR2_DQS1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICR2_DQS1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP6 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP6/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_EBR6 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_EBR6/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LR0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LR0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_LR -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_LR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_LR_A -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_LR_A/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICB0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICB0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_S -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_S/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DSP_SPINE_UR0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DSP_SPINE_UR0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_DSP4 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_DSP4/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BANKREF7 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BANKREF7/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_E -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_E/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CMUX_LL_0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CMUX_LL_0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUF -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUF/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DDRDLL_UL -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DDRDLL_UL/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICR1_DQS3 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICR1_DQS3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_DSP5 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_DSP5/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DDRDLL_UR -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DDRDLL_UR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_8 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_8/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_A -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_A/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_EBR -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_EBR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP5 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP5/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP4 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP4/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCU2 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCU2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_EBR1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_EBR1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DSP_CMUX_UR -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DSP_CMUX_UR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICR1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICR1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_EBR8 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_EBR8/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUG -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUG/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_DSP7 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_DSP7/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_LX -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_LX/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VIQ_BUF -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VIQ_BUF/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UL1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UL1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DCU7 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DCU7/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUB -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUB/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PLL0_UR -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PLL0_UR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_LR_25K -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_LR_25K/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCU3 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCU3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DCU1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DCU1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BMID_2V -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BMID_2V/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/OSC -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/OSC/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCUG -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCUG/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_DSP1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_DSP1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BMID_2 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BMID_2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_PLL0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_PLL0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/LMID_0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/LMID_0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EFB0_PICB0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EFB0_PICB0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PLL0_UL -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PLL0_UL/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP7 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP7/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_EFB1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_EFB1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_EBR5 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_EBR5/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_PLL3 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_PLL3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PIOT1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PIOT1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICL1_DQS3 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICL1_DQS3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DSP_SPINE_UR1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DSP_SPINE_UR1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UL0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UL0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UR0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UR0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DCU0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DCU0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CMUX_UL_0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CMUX_UL_0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL2 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP3 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICR0_DQS2 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICR0_DQS2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DCU3 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DCU3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/TMID_1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/TMID_1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PLL0_LL -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PLL0_LL/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICT1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICT1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BMID_0V -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BMID_0V/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUI -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUI/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICB1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICB1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/TMID_0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/TMID_0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DCU2 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DCU2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP2 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_DSP6 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_DSP6/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICR2 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICR2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DSP_SPINE_UL0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DSP_SPINE_UL0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DDRDLL_LL -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DDRDLL_LL/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BANKREF2A -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BANKREF2A/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PLL0_LR -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PLL0_LR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_LRC_A -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_LRC_A/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCUD -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCUD/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DTR -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DTR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_F -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_F/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/TAP_DRIVE_CIB -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/TAP_DRIVE_CIB/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PLL1_LR -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PLL1_LR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BANKREF8 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BANKREF8/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_LL -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_LL/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCU0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCU0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BANKREF6 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BANKREF6/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_PLL2 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_PLL2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCUA -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCUA/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICL0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICL0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BANKREF2 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BANKREF2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LR1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LR1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_DSP3 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_DSP3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LR2 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LR2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICL1_DQS0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICL1_DQS0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_EBR7 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_EBR7/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DDRDLL_URA -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DDRDLL_URA/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DSP -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DSP/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UR2 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UR2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL3 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/ECLK_L -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/ECLK_L/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUH -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUH/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_LRC -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_LRC/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_2 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PIOT0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PIOT0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PVT_COUNT2 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PVT_COUNT2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCUC -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCUC/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DCU4 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DCU4/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/SPICB0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/SPICB0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UL2 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UL2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_LL_25K -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_LL_25K/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCUH -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCUH/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_UR -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_UR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_EBR2 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_EBR2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_T -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_T/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_5 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_5/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/ECLK_R -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/ECLK_R/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUD -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUD/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DSP_SPINE_UL1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DSP_SPINE_UL1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_DSP8 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_DSP8/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCUB -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCUB/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICR0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICR0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUA -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUA/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_LR -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_LR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DDRDLL_ULA -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DDRDLL_ULA/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UR1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UR1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CMUX_UR_0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CMUX_UR_0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCUI -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_DCUI/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_6 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_6/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_EFB0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_EFB0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CMUX_LR_0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CMUX_LR_0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DDRDLL_LR -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DDRDLL_LR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUC -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUC/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PLL1_UR -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PLL1_UR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_LR_S -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_LR_S/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICL0_DQS2 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICL0_DQS2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EFB3_PICB1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EFB3_PICB1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/RMID_0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/RMID_0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_LR -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_LR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EFB1_PICB1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EFB1_PICB1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP8 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP8/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICT0 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICT0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_PLL1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/CIB_PLL1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_4 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_4/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PLL1_UL -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PLL1_UL/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_EBR4 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_EBR4/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_RX -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_RX/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICL1 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PICL1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BANKREF7A -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/BANKREF7A/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PLC2 -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/tiledata/PLC2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5U-45F -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5U-45F/iodb.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5U-45F/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/ECP5/LFE5U-45F/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/COPYING -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/database/README.md -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/misc -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/misc/openocd -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/misc/openocd/ulx3s.cfg -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/misc/openocd/ecp5-versa.cfg -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/misc/openocd/ecp5-versa5g.cfg -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/misc/openocd/ecp5-evn.cfg -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/misc/openocd/ulx3s_85k.cfg -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/misc/openocd/trellisboard.cfg -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/misc/basecfgs -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/misc/basecfgs/empty_lfe5um5g-45f.config -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/misc/basecfgs/empty_lfe5um-45f.config -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/misc/basecfgs/empty_lfe5um5g-25f.config -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/misc/basecfgs/empty_lfe5u-25f.config -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/misc/basecfgs/empty_lfe5um5g-85f.config -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/misc/basecfgs/empty_lfe5u-85f.config -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/misc/basecfgs/empty_lfe5um-85f.config -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/misc/basecfgs/empty_lfe5u-45f.config -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/misc/basecfgs/empty_lfe5um-25f.config -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/misc/basecfgs/README.md -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/util/common -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/util/common/diamond.py -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/util/common/devices.py -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/util/common/database.py -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/util/common/nets.py -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/util/common/__init__.py -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/util/common/isptcl.py -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/util/common/tiles.py -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/timing/util -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/timing/util/pip_classes.py -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/timing/util/design_pip_classes.py -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/timing/util/timing_dbs.py -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/timing/util/cell_fuzzers.py -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/timing/util/parse_sdf.py -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/timing/util/__init__.py -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/timing/util/cell_html.py -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/timing/util/extract_ncl_routing.py -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/timing/util/timing_solver.py -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/timing/util/interconnect_html.py -- Installing: /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/trellis/timing/util/cell_timings.py + install -Dpm644 -t /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/man/man1 man1/ecpbram.1 man1/ecpmulti.1 man1/ecppack.1 man1/ecppll.1 man1/ecpunpack.1 + /usr/lib/rpm/find-debuginfo.sh -j4 --strict-build-id -m -i --build-id-seed 1.0-0.9.20200127git30ee6f2.fc33 --unique-debug-suffix -1.0-0.9.20200127git30ee6f2.fc33.riscv64 --unique-debug-src-base trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 -S debugsourcefiles.list /builddir/build/BUILD/prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/bin/ecpbram explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/bin/ecpmulti explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/bin/ecppack explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/bin/ecppll extracting debug info from /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/bin/ecpmulti extracting debug info from /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/bin/ecppack extracting debug info from /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/bin/ecpbram extracting debug info from /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/bin/ecppll explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/bin/ecpunpack extracting debug info from /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/bin/ecpunpack explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/lib64/trellis/libtrellis.so explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/lib64/trellis/pytrellis.so extracting debug info from /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/lib64/trellis/libtrellis.so extracting debug info from /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/lib64/trellis/pytrellis.so original debug info size: 43236kB, size after compression: 39140kB /usr/lib/rpm/sepdebugcrcfix: Updated 7 CRC32s, 0 CRC32s did match. 505 blocks + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/redhat/brp-python-bytecompile /usr/bin/python3 1 0 + /usr/lib/rpm/brp-python-hardlink + /usr/lib/rpm/redhat/brp-mangle-shebangs Executing(%check): /bin/sh -e /var/tmp/rpm-tmp.ubD7rW + umask 022 + cd /builddir/build/BUILD + cd prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 + RPM_EC=0 ++ jobs -p + exit 0 Processing files: trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.K6lgwW + umask 022 + cd /builddir/build/BUILD + cd prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 + DOCDIR=/builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/doc/trellis + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/doc/trellis + cp -pr README.md /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/doc/trellis + cp -pr docs/_build/latex/ProjectTrellis.pdf /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/doc/trellis + cp -pr examples /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/doc/trellis + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.9Ah3UU + umask 022 + cd /builddir/build/BUILD + cd prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 + LICENSEDIR=/builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/licenses/trellis + export LC_ALL=C + LC_ALL=C + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/licenses/trellis + cp -pr COPYING /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/licenses/trellis + RPM_EC=0 ++ jobs -p + exit 0 Provides: libtrellis.so()(64bit) trellis = 1.0-0.9.20200127git30ee6f2.fc33 trellis(riscv-64) = 1.0-0.9.20200127git30ee6f2.fc33 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: ld-linux-riscv64-lp64d.so.1()(64bit) ld-linux-riscv64-lp64d.so.1(GLIBC_2.27)(64bit) libboost_filesystem.so.1.69.0()(64bit) libboost_program_options.so.1.69.0()(64bit) libboost_thread.so.1.69.0()(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.27)(64bit) libdl.so.2()(64bit) libdl.so.2(GLIBC_2.27)(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libgcc_s.so.1(GCC_3.4)(64bit) libpthread.so.0()(64bit) libpthread.so.0(GLIBC_2.27)(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) libtrellis.so()(64bit) rtld(GNU_HASH) Processing files: trellis-devel-1.0-0.9.20200127git30ee6f2.fc33.riscv64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.NC0PMU + umask 022 + cd /builddir/build/BUILD + cd prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 + DOCDIR=/builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/doc/trellis-devel + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/doc/trellis-devel + cp -pr libtrellis/examples /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64/usr/share/doc/trellis-devel + RPM_EC=0 ++ jobs -p + exit 0 Provides: trellis-devel = 1.0-0.9.20200127git30ee6f2.fc33 trellis-devel(riscv-64) = 1.0-0.9.20200127git30ee6f2.fc33 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/python3 ld-linux-riscv64-lp64d.so.1()(64bit) ld-linux-riscv64-lp64d.so.1(GLIBC_2.27)(64bit) libboost_python38.so.1.69.0()(64bit) libboost_thread.so.1.69.0()(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.27)(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libgcc_s.so.1(GCC_3.4)(64bit) libpthread.so.0()(64bit) libpthread.so.0(GLIBC_2.27)(64bit) libpython3.9.so.1.0()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) rtld(GNU_HASH) Processing files: trellis-data-1.0-0.9.20200127git30ee6f2.fc33.noarch Provides: trellis-data = 1.0-0.9.20200127git30ee6f2.fc33 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: trellis-debugsource-1.0-0.9.20200127git30ee6f2.fc33.riscv64 Provides: trellis-debugsource = 1.0-0.9.20200127git30ee6f2.fc33 trellis-debugsource(riscv-64) = 1.0-0.9.20200127git30ee6f2.fc33 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: trellis-debuginfo-1.0-0.9.20200127git30ee6f2.fc33.riscv64 Provides: debuginfo(build-id) = 0dfb4176c13ddf16315319ec7c60bcaa78a796a2 debuginfo(build-id) = 12d683d7e567eeb5548ad96f037396a98bc2a0d5 debuginfo(build-id) = 2dd31ef7504fbd0a9938d0ca1eacc9aa4de8ab11 debuginfo(build-id) = 8a4818dd2a8e229470a5300015beb4b5baacf168 debuginfo(build-id) = c5066ae77a4acf9e23159d851b93d4ef4ca2070f debuginfo(build-id) = d38ddf21238e3a83feeb2c374db35877f822f691 trellis-debuginfo = 1.0-0.9.20200127git30ee6f2.fc33 trellis-debuginfo(riscv-64) = 1.0-0.9.20200127git30ee6f2.fc33 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: trellis-debugsource(riscv-64) = 1.0-0.9.20200127git30ee6f2.fc33 Processing files: trellis-devel-debuginfo-1.0-0.9.20200127git30ee6f2.fc33.riscv64 Provides: debuginfo(build-id) = b94321af0adee6eec9413883937584a5c05c9d1d trellis-devel-debuginfo = 1.0-0.9.20200127git30ee6f2.fc33 trellis-devel-debuginfo(riscv-64) = 1.0-0.9.20200127git30ee6f2.fc33 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: trellis-debugsource(riscv-64) = 1.0-0.9.20200127git30ee6f2.fc33 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64 Wrote: /builddir/build/RPMS/trellis-debuginfo-1.0-0.9.20200127git30ee6f2.fc33.riscv64.rpm Wrote: /builddir/build/RPMS/trellis-devel-1.0-0.9.20200127git30ee6f2.fc33.riscv64.rpm Wrote: /builddir/build/RPMS/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64.rpm Wrote: /builddir/build/RPMS/trellis-debugsource-1.0-0.9.20200127git30ee6f2.fc33.riscv64.rpm Wrote: /builddir/build/RPMS/trellis-devel-debuginfo-1.0-0.9.20200127git30ee6f2.fc33.riscv64.rpm Wrote: /builddir/build/RPMS/trellis-data-1.0-0.9.20200127git30ee6f2.fc33.noarch.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.UbcgXW + umask 022 + cd /builddir/build/BUILD + cd prjtrellis-30ee6f2eba8a68f25f4d591819db9b28abbbed94 + /usr/bin/rm -rf /builddir/build/BUILDROOT/trellis-1.0-0.9.20200127git30ee6f2.fc33.riscv64 + RPM_EC=0 ++ jobs -p + exit 0 Child return code was: 0